CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - m sequence

搜索资源列表

  1. m序列

    3下载:
  2. Verilog编写的M序列发生器,希望能对大家带来帮助。 -Verilog prepared by the M-sequence generator, we hope to bring help.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4913
    • 提供者:张林
  1. m-sequence--PR-sdu

    0下载:
  2. 随机产生m序列 即产生伪随机数 又叫做伪随机序列、伪噪声(PN)码或伪随机码。-M sequence that is randomly generated pseudo-random number generation, also known as pseudo-random sequence, a pseudo-noise (PN) code or pseudo-random code.
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:28081
    • 提供者:陈真贺
  1. m-sequence

    0下载:
  2. r=5时(级数为5),由抽取的方法产生m序列 m序列优选对的产生,并计算了其自相关和互相关函数-m sequence generator (r=5), crosscorrelation and autocorrelation
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:3200
    • 提供者:konglong
  1. m-sequence

    0下载:
  2. m序列产器 像头文件动态库的 在函数中进行调用-the series of m sequence
  3. 所属分类:Communication-Mobile

  1. M-sequence-of-Matlab-based

    0下载:
  2. 基于Matlab的m序列仿真与实现,仿真结果验证了该方法的正确性和可行性-M sequence of Matlab-based simulation and
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:132448
    • 提供者:张考
  1. m-sequence

    0下载:
  2. 一种产生M序列的代码程序。基于MATLAB编程-That produces the M-sequence code procedures. Based on MATLAB Programming
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:3096
    • 提供者:keck
  1. -M-sequence-pseudo-random

    0下载:
  2. 建模与辨识基础实验 产生M序列伪随机信号的程序-Modeling and identification from basic experimental procedures of M-sequence pseudo-random signal
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-08
    • 文件大小:33155
    • 提供者:weiyu
  1. M-sequence

    0下载:
  2. 编码器生成M序列进行通信,接收后再进行解码。用于扩频率通信中。通过状态机实现。-The encoder generates the M sequence for communication, the receiver and then decoded. For the expansion of the frequency communications. Through the state machine implementation.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:14496
    • 提供者:liyu
  1. m sequence generator

    0下载:
  2. this is a function to simulate the generation of m sequence in spread spectrum communication
  3. 所属分类:matlab例程

    • 发布日期:2015-06-20
    • 文件大小:986
    • 提供者:kaiwanxiao
  1. Anti-ISI-jamming--m-sequence

    0下载:
  2. 采用m序列替代随机序列作为扩频码,仿真其性能;完成了与随机序列扩频性能之间的比较;完成无线多径ISI信道建模,在ISI信道下,研究了m序列与golden序列抗ISI的仿真误码率比较-M sequence used as a substitute random sequence spreading code, simulation of its performance, the completion of the comparison between the performance of rand
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5387
    • 提供者:许乐
  1. M-sequence-Feibonaqie

    0下载:
  2. 实现输入长度M的菲波那切数列并将得到的结果输出到txt中-The length of the M sequence Feibonaqie to realize input and output to TXT
  3. 所属分类:JavaScript

    • 发布日期:2017-04-12
    • 文件大小:1002
    • 提供者:张秋秋
  1. 10-M-Sequence

    0下载:
  2. 产生10级M序列的labview程序,使用一般的I/O卡即可实现信号的硬件输出-Produce 10 M sequence of labview program, the use of general I/O card to achieve the signal s hardware output
  3. 所属分类:LabView

    • 发布日期:2017-05-04
    • 文件大小:17966
    • 提供者:赵超
  1. m-sequence-detection

    1下载:
  2. 基于m序列编码信号的水下微弱目标检测方法。研究水下微弱目标检测的匹配滤波器方法;m序列编码信号的微弱目标检测性能-Based on m sequence coding signal of underwater weak target detection method. Study of matched filter method of underwater weak target detection M sequence encoding signal of weak target detec
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:919233
    • 提供者:李虎
  1. m-Sequence

    0下载:
  2. FPGA,verilog,输出M序列,已调试成功,可直接在Quartus上打开。-FPGA, verilog, output M sequence, has been successfully debugged, can be opened directly on the Quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5118462
    • 提供者:秦枫
  1. 基于MATLAB产生m序列

    0下载:
  2. MATLAB产生M序列程序源代码实验截图也有(MATLAB generate M sequence program source code)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:116736
    • 提供者:ACE..
  1. m序列PTS模块

    1下载:
  2. 基于OFDM设计M序列的PTS MATLAB实现(PTS MATLAB implementation of M sequence design based on OFDM)
  3. 所属分类:matlab例程

  1. M

    0下载:
  2. 数据通信 通过输入原始序列,输出 M序列,并且输出初始序列变化的过程(Data communication output M sequence through the original sequence)
  3. 所属分类:Windows编程

    • 发布日期:2018-01-08
    • 文件大小:527360
    • 提供者:Mihriban
  1. m序列的产生

    1下载:
  2. 1.使用Matlab设计m序列发生器。 2.使用生成多项式(23)8=(10011)2产生第一个m序列; 3.使用生成多项式(31)8=(11001)2产生第二个m序列。(1. Design an m-sequence generator using Matlab. 2. Generate the first m sequence using the generator polynomial (23)8=(10011)2; 3. Generate the second m-sequence us
  3. 所属分类:matlab例程

    • 发布日期:2020-12-23
    • 文件大小:22528
    • 提供者:songf
  1. m序列的特性&walsh码的产生及特性

    1下载:
  2. 1.使用Matlab对m序列特性进行研究; 2.使用Matlab产生walsh码,并对其特性进行研究。(1. Use Matlab to study the characteristics of the m sequence; 2. Use Matlab to generate walsh code, and study its characteristics.)
  3. 所属分类:其他

    • 发布日期:2020-05-27
    • 文件大小:1024
    • 提供者:songf
  1. m、gold序列自相关

    2下载:
  2. 生成m序列和gold序列的matlab代码,并比较他们的自相关、互相关特性。(Generate matlab code of m-sequence, and control the generation of m-sequence with specified requirements through input tap)
  3. 所属分类:通讯编程

« 1 23 4 5 6 7 8 9 10 ... 42 »
搜珍网 www.dssz.com